首页 > 图书中心 >图书详情

EDA技术及应用

资源下载处为本书课件,更新时间2019-03-04。以实现电子系统为目标,介绍电子系统设计主流技术,设计实例丰富,方案详实可行

作者:张瑾、李泽光、韩睿
定价:49
印次:1-6
ISBN:9787302488552
出版日期:2018.03.01
印刷日期:2021.03.31

本书系统地介绍电子系统设计的主流技术——EDA技术。全书共8章,分别为概述、CPLD/FPGA结构与工作原理、VHDL结构与要素、QuartusⅡ应用指南、VHDL基本语句、VHDL设计、EDA技术应用实例、EDA技术设计实验项目。本书的目标是使读者掌握应用EDA技术设计电子系统的方法,形成EDA设计能力。 本书避免对不常用语法的说明,安排了大量例题、习题以及应用实例,其中每个设计都提供了完整的程序代码,程序均经过仿真验证。第7章介绍了4个综合系统的设计实例,所有设计完成硬件电路并且测试成功。 本书可作为高等院校计算机类、通信电子类、自动化类以及相关专业的本科或研究生EDA课程教材,也可作为教师以及广大科技工作者的参考用书。

more >

前言 近年来,随着EDA技术的快速发展和日臻完善,信息电子类高新技术项目的开发与设计越来越广泛地采用EDA技术。EDA技术中,软件设计方案落实到硬件系统的环节由专用工具自动完成,这使设计人员从繁重的手工设计中解脱出来,得以将更多精力投入设计优化、性能提高方面。在基于EDA技术的产品设计中,可以在设计过程中的多个阶段进行仿真,现场编程修改、升级系统设计,在完成硬件系统后,还能对系统中的目标器件进行边界扫描测试,进一步确认设计的正确性,大大降低了设计成本,缩短了设计周期。另外,由于承载设计方案的核心器件是大规模可编程逻辑器件,它的高密度、低功耗、高速稳定的特性造就了以此为核心的电子系统在体积、功耗、速度、稳定性方面优越的性能。同时,EDA技术采用的“自顶向下”的设计思想和方法使得设计过程中不必因为某个层级出现了问题而将底层的设计全部推翻重做,这样将使复杂设计的成功率更高。 EDA技术的巨大优势与广泛应用使得越来越多的人希望迅速掌握EDA设计的方法和应用技巧。目前各高校信息电子类专业普遍开设EDA课程,旨在培养EDA技术方面的专业人才,然而高校EDA课程大都存在信息量大与学时少的矛盾。本书结合作者多年的教学与科研经验,遵循学生的认知规律,摒弃了在内容阐述上片面追求面面俱到的做法,对EDA技术的内容进行了精简,对内容的顺序安排做出了调整,力求重点突出,言简意赅,便于初学者在较短时间内把握EDA设计要领。 本书的总体编写思路是,保留完成设计必不可少的最基础、最常用、最高效可行的设计方法,删减操作困难、使用烦琐、语义晦涩的语句和流程,使得初学者有信心,易上手。在内容组织上做了如下安排:先介绍EDA技术的概况,使读者对EDA技术有基本了解; 然后简要介绍EDA设计的重要载体CPLD/FPGA的内部结构和工作原理,使学习者能够基于CPLD/FPGA的特性进行有效设计; 在介绍了编程语言和编程规则后,介绍EDA软件工具操作办法,至此,学习者已经能够独立完成一个简单的设计。在此基础上,介绍VHDL语法与设计技巧,并通过较为复杂的综合系统设计实例使学习者形成并提升设计能力。 本书共8章。第1章概括介绍EDA技术的含义、发展状况、主要内容、设计流程与工具;第2章介绍大规模可编程器件CPLD和FPGA的结构和工作原理,并对二者的性能特点进行对比;第3章介绍VHDL语言的结构与要素,阐述运用VHDL语言应遵循的基本规则;第4章介绍EDA开发软件工具QuartusⅡ的应用方法; 第5章介绍VHDL常用语句,包括顺序语句和并行语句;第6章介绍基本电路设计方法以及应用于较复杂电路设计的两种方法——状态机设计法和LPM定制法;第7章详细介绍4个综合性较强的设计项目,包括设计要求、设计方案、源代码、仿真分析与电路RTL图; 第8章为基于EDA课程的实验项目。 全书由张瑾统稿,李泽光校审,第1章、第4~7章由张瑾编写,第2章、第3章由李泽光编写,第8章由韩睿编写。在本书编写过程中,戴文季、侯海鹏、杨腾、李雅丽、许莹红、李学芳、罗钰杰、石娅等同学在程序调试与硬件测试中做了很多工作,同时本书的编写也参考了很多专家与学者的文献,在此深表感谢! 由于编者水平有限,书中难免存在错误和疏漏之处,恳请广大读者和同行专家批评指正! 编者 2017年7月于大连

more >
扫描二维码
下载APP了解更多

同系列产品more >

软件技术基础(第2版)

瞿亮 主编 梁桥康 王绍
定 价:59元

查看详情
数字集成电路设计

李娇,张金艺,任春明,
定 价:69元

查看详情
电工电子技术(第2版)

靳孝峰、刘广杰
定 价:65元

查看详情
FPGA现代电子系统设计原理

陈晓梅
定 价:69元

查看详情
变频器原理及应用

周振超、孙振龙、郭海丰
定 价:59元

查看详情
图书分类全部图书
more >
  • 本书面向工科院校电子、通信、计算机、物联网、自动化等专业本科生,以实现电子系统设计为目标,介绍了电子系统设计中的主流技术——EDA技术。读者通过本书的学习,能够掌握EDA技术的基本知识、基于EDA技术的电子系统设计的流程、开发工具与设计方法。
  • 本书面向工科院校电子、通信、计算机、物联网、自动化等专业本科生,以实现电子系统设计为目标,介绍了电子系统设计中的主流技术——EDA技术。读者通过本书的学习,能够掌握EDA技术的基本知识、基于EDA技术的电子系统设计的流程、开发工具与设计方法。
more >
  • 目录

    第1章概述

    1.1EDA技术及其发展

    1.1.1EDA技术的含义

    1.1.2EDA技术的优势

    1.1.3EDA技术的发展历程

    1.2EDA技术四要素

    1.2.1软件开发工具

    1.2.2硬件描述语言

    1.2.3大规模可编程逻辑器件

    1.2.4实验开发系统

    1.3EDA流程及工具

    1.3.1源程序的编辑和输入

    1.3.2逻辑综合和优化

    1.3.3目标器件的布线/适配

    1.3.4目标器件的编程/下载

    1.3.5设计过程中的仿真

    1.3.6硬件仿真/硬件测试

    1.4IP核

    1.4.1软核

    1.4.2硬核

    1.4.3固核

    1.5EDA技术应用展望

    1.5.1EDA技术应用于科研和新产品的开发

    1.5.2EDA技术应用于专用集成电路的开发

    习题

    第2章CPLD/FPGA结构与工作原理

    2.1CPLD

    2.1.1CPLD的基本结构

    2.1.2CPLD实现逻辑的基本原理

    2.2FPGA

    2.2.1主要内部资源

    2.2.2FPGA的供电机制

    2.2.3FPGA的配置

    2.2.4器件的标识方法说明

    2.3CPLD和FPGA的比较

    习题

    第3章VHDL结构与要素

    3.1VHDL概述

    3.1.1一个设计实例

    3.1.2设计实例的说明与分析

    3.2VHDL结构

    3.2.1库、程序包和配置

    3.2....

精彩书评more >

标题

评论

版权所有(C)2023 清华大学出版社有限公司 京ICP备10035462号 京公网安备11010802042911号

联系我们 | 网站地图 | 法律声明 | 友情链接 | 盗版举报 | 人才招聘