首页 > 图书中心 >图书详情

数字逻辑电路设计

及时融入数字电路基础内容及学科发展前沿,突出内容的完整性和理论的系统性。强调理论与实践相结合,注重解决复杂工程数字系统问题解决方案,将电路仿真软件Multisim 、Pspice、VHDL与数字电路教学有机结合。

作者:范文兵、李浩亮、李敏
定价:79.99
印次:1-2
ISBN:9787302565925
出版日期:2020.12.01
印刷日期:2022.08.31

本书是依据教育部2017年新制定的工程教育认证标准“电子技术基础课程教学基本要求”编写的。全书共分为九章,主要内容包括逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲波形的产生与整形、存储器和可编程逻辑器件、D/A、A/D转换器和数字系统典型应用等。书的每章中有例题,每章之后附有习题,以利于学生联系实际,巩固所学知识。

more >

前言Foreword“数字逻辑电路设计”课程是电子信息类、电气类、自动化类、计算机类等电类专业和其他相近专业的主要专业基础课程。教育部曾多次组织重点院校的专家编写统编教材,对该课程的发展起到了重要的推动作用。本书是依据教育部高等学校电子信息类专业教学指导委员会公布的《高等学校电子信息科学与工程类本科指导性专业规范》编写的,全书共9章。 随着电子科学技术的高速发展,近年来“数字逻辑电路设计”课程的教学内容有了较大变化,其中基于EDA技术和可编程逻辑器件的现代数字系统设计受到了广泛重视。但由于可编程逻辑器件等新型器件仍属于半导体器件,所以过去讲授的半导体器件工作原理的理论基础对这些新型器件仍然适用。同时,传统教材中的逻辑代数、逻辑门、触发器、组合电路、时序电路等基本概念、分析方法、设计方法也是使用新型器件时必备的基础理论。因此,本书在讲授这些章节时,一方面延续和保持了数字电路基础内容的完整性和理论的系统性;另一方面相应地增加了数字电路基础内容的VHDL语言描述和可编程逻辑器件的应用案例,使读者在学习数字逻辑电路时逐步掌握现代数字系统设计的基础知识。 此外,本书将存储器和可编程逻辑器件合并为一章(第7章),重点介绍了以下内容: 只读存储器、随机存取存储器的组成、工作原理及集成器件应用,FPLA、PAL器件及其应用,GAL、CPLD和FPGA的电路结构、工作原理和器件技术特性,并详细介绍了应用可编程逻辑器件配置和基于MAX+plus Ⅱ、Quartus Ⅱ两种EDA平台的现代数字系统设计流程。第8章介绍了各种转换器的结构、原理和集成器件的使用方法。第9章介绍了数字系统的典型应用,给出了传统数字系统设计实例和利用EDA工具的设计实例,这些实例深入浅出地展示了常用的中大规模集成电路的应用方法,可以作为课程设计和综合设计时的参考。本书的前8章提供了例题和习题,便于学生巩固所学如识。 带有的章节作为选讲的内容。在学时较少或要求不高的情况下,可以删减这些内容或安排学生自学。删减的内容不会影响整个理论体系的完整性和内容的连贯性。 本书由范文兵教授主编。第3、5、7、8、9章由范文兵、李敏编写,第1、2、4、6章由李浩亮副教授编写,全书由范文兵统稿、定稿,李敏校对。本书中的实例由郑州大学EDA实验室王耀、吕小永两位老师进行了充分的研究。郑州轻工业大学的陈燕老师在教材编写和推广方面做了大量工作。本书的编写得到郑州大学及郑州大学信息工程学院领导的大力支持和指导,Intel公司为本书提供了有益的资料和软件。编者在此向他们表示衷心的感谢。 本书还有不完善之处,殷切地期望读者批评指正。 编者2020年9月

more >
扫描二维码
下载APP了解更多

同系列产品more >

信号与系统(第2版)

甘俊英、胡异丁、杨敏、
定 价:58元

查看详情
微机原理与接口技术(第3版·微课版)...

李珍香,谈娴茹
定 价:69元

查看详情
云计算与微服务(微课版)

杨磊、王一悦、汪美霞、
定 价:49元

查看详情
计算机常用算法与程序设计案例教程...

杨克昌
定 价:69元

查看详情
C#程序设计教程(第2版·微课版·题...

李瑞旭
定 价:69.80元

查看详情
图书分类全部图书
more >
  • 范文兵,1969年出生,博士,教授,长期从事电子技术类课程教学及科研工作,主编的《数字电路与逻辑设计》本科教材,自2014年出版后,电子信息类专业使用多年,效果良好,2016年曾获得郑州大学百优教材。
  • “数字逻辑电路设计”是类专业必修的一门基础课。本书主要介绍数字电路及逻辑设计的基本知识、分析与设计的基本方法及常用集成芯片的使用方法等,强调内容的完整性和理论的系统性,突出学科发展前沿,主要特色如下:

    (1)将数字电路与逻辑设计的基础理论和Max Plus II和Quartus II等EDA设计方法相结合,详细介绍如何利用两种软件进行简单数字系统的编辑和时序仿真的方法,以帮助学生掌握EDA的基本概念和技术。在讲述数字电路基础理论时,每一章节都增加了VHDL语言和Verilog HDL语言设计内容,使读者逐步掌握现代数字逻辑系统设计基础知识。

    (2)具有较强的实用性。书中的自动售货机控制、数字频率计、交通信号控制、多人智能抢答器、DDS信号发生器等数字逻辑典型应用系统,采用现代EDA设计流程进行设计,可以作为本课程的课程设计或综合设计时训练使用,也使学生更加明确本课程学习的目的,提高学习的积极性。

    (3)辅助学习资料完备。本书的每一章前面有本章的内容提要,章后有本章的小结,帮助读者理解本章讲述内容和核心,并在后面配有习题和例题分析,使读者读完相关章节的内容后,通过习题训练验证是否达到本章所要求掌握的内容。

more >
  • 目录Contents第1章逻辑代数基础1

    1.1概述1

    1.1.1脉冲波形和数字波形1

    1.1.2数制和码制3

    1.1.3其他二进制码7

    1.2基本逻辑函数及运算定律9

    1.2.1逻辑函数中的3种基本运算9

    1.2.2逻辑代数的运算定律及规则11

    1.3逻辑函数的表示方法14

    1.3.1逻辑函数的基本表示方法14

    1.3.2逻辑函数的最小项和最大项17

    1.3.3从真值表归纳逻辑函数18

    1.4逻辑函数的公式化简法20

    1.4.1逻辑函数的最简形式20

    1.4.2常用的公式化简法21

    1.5逻辑函数的卡诺图化简法23

    1.5.1逻辑函数的卡诺图表示法23

    1.5.2利用卡诺图化简逻辑函数25

    1.5.3具有无关项的逻辑函数化简28

    1.6利用引入变量卡诺图化简逻辑函数30

    1.7VHDL基础31

    1.7.1VHDL概述31

    1.7.2VHDL基本结构33

    1.7.3VHDL规则35

    1.7.4MAX+plus Ⅱ开发工具38

    1.8本章小结38

    1.9习题39第2章门电路44

    2.1概述44

    2.2半导体管的开关特性44

    2.2.1三极管的开关特性44

    2.2.2MOS管的开关特性46

    2.3简单的与、或、非门电路51

    2.3.1二极管门电路51

    2.3.2三极管非门电路52

    2.3.3二极管三极管与非、或非门54

    2.4TTL集成门电路54

    2.4.1TTL与非门电路结构和工作原理55

    2.4.2TTL与非门的外部特性及参数56

    2.4.3其他类型的TTL门电路62

    2.4.4TTL门电路的使...

精彩书评more >

标题

评论

版权所有(C)2023 清华大学出版社有限公司 京ICP备10035462号 京公网安备11010802042911号

联系我们 | 网站地图 | 法律声明 | 友情链接 | 盗版举报 | 人才招聘